最新更新最新专题

您的位置:首页 > ppt下载 > PPT课件 > 培训教程PPT > VHDL培训教程PPT课件

VHDL培训教程PPT课件下载

素材大小:
771 KB
素材授权:
免费下载
素材格式:
.ppt
素材上传:
ppt
上传时间:
2016-06-08
素材编号:
52622
素材类别:
培训教程PPT

素材预览

VHDL培训教程PPT课件 VHDL培训教程PPT课件

这是一个关于VHDL培训教程PPT(部分ppt内容已做更新升级)课件,主要介绍了VHDL简介及其结构、VHDL中的对象、操作符、数据类型、VHDL中的控制语句及模块、状态机的设计等内容。VHDL的发展历史起源于八十年代,由美国国防部开发
两个标准: 1、1987年的 IEEE 1076(VHDL87);2、1993年进行了修正(VHDL93),欢迎点击下载VHDL培训教程PPT(部分ppt内容已做更新升级)课件哦。

VHDL培训教程PPT课件是由红软PPT免费下载网推荐的一款培训教程PPT类型的PowerPoint.

VHDL培训教程p5v红软基地
第一讲、VHDL简介及其结构p5v红软基地
第二讲、VHDL中的对象、操作符、数据类型p5v红软基地
第三讲、VHDL中的控制语句及模块p5v红软基地
第四讲、状态机的设计p5v红软基地
第一讲、VHDL简介及其结构p5v红软基地
通过本课的学习您可以了解以下几点p5v红软基地
1、VHDL 的基本概念p5v红软基地
2、VHDL的基本结构p5v红软基地
3、VHDL的设计初步p5v红软基地
什么是VHDLp5v红软基地
VHDL-p5v红软基地
      VHSIC Hardware Decription Languagep5v红软基地
  其中VHSIC-p5v红软基地
       Very High Speed Integrated Circuitp5v红软基地
电子设计自动化的关键技术之一是要求用形式化p5v红软基地
方法来描述硬件系统。VHDL适应了这种要求。p5v红软基地
VHDL和Verilog HDLp5v红软基地
Verilog HDL:p5v红软基地
   另一种硬件描述语言,由Verilog 公司开发,1995年成为IEEE标准。p5v红软基地
   优点:简单、易学易用p5v红软基地
   缺点:功能不如VHDL强大,仿真工具少p5v红软基地
VHDL :p5v红软基地
   1987年成为IEEE标准p5v红软基地
    优点:功能强大、通用性强。p5v红软基地
    缺点:难学p5v红软基地
VHDL的发展历史p5v红软基地
起源于八十年代,由美国国防部开发p5v红软基地
两个标准:p5v红软基地
    1、1987年的 IEEE 1076(VHDL87)p5v红软基地
    2、1993年进行了修正(VHDL93)p5v红软基地
VHDL在电子系统设计中的应用p5v红软基地
VHDL在电子系统设计中的应用p5v红软基地
电子系统设计的描述等级p5v红软基地
1、行为级p5v红软基地
2、RTL级(Register transfer level)p5v红软基地
3、逻辑门级p5v红软基地
4、版图级p5v红软基地
用VHDL可以描述以上四个等级p5v红软基地
VHDL在电子系统设计中的应用p5v红软基地
VHDL在电子系统设计中的应用p5v红软基地
VHDL在电子系统设计中的应用p5v红软基地
VHDL在电子系统设计中的应用p5v红软基地
如何使用VHDL描述硬件实体p5v红软基地
VHDL结构要点p5v红软基地
1、ENTITY(实体)p5v红软基地
格式: p5v红软基地
      Entity  实体名  ISp5v红软基地
               [类属参数说明]p5v红软基地
               [端口说明]p5v红软基地
      End Entity;  p5v红软基地
  其中端口说明格式为:p5v红软基地
    PORT(端口名1,端口名N:方向:类型)p5v红软基地
   其中方向有: IN , OUT, INOUT, BUFFER, LINKAGEp5v红软基地
VHDL结构要点p5v红软基地
注意p5v红软基地
简单地说p5v红软基地
 In  不可以出现在<= 或  : = 的左边p5v红软基地
 out不可以出现在<= 或  : = 的右边p5v红软基地
 buffer可以出现在<= 或  : = 的两边p5v红软基地
VHDL结构要点p5v红软基地
例子 (HalfAdd)p5v红软基地
VHDL结构要点p5v红软基地
2、Arcthitecture(构造体)p5v红软基地
    格式:p5v红软基地
    Arcthitecture 构造体名 of  实体名  is p5v红软基地
       [定义语句] 内部信号、常数、元件、数据类型、函数等的定义p5v红软基地
     begin p5v红软基地
       [并行处理语句和block、process、function、procedure]p5v红软基地
     end 构造体名;p5v红软基地
VHDL结构要点p5v红软基地
例子(HalfAdd)p5v红软基地
VHDL结构要点p5v红软基地
例子 (FullAdd) (学习如何调用现有模块)p5v红软基地
VHDL结构要点p5v红软基地
实例(FullAdd)-entityp5v红软基地
VHDL结构要点p5v红软基地
实例(FullAdd)-architecturep5v红软基地
VHDL中的设计单元p5v红软基地
      除了entity(实体)和architecture(构造体)外还有p5v红软基地
另外三个可以独立进行编译的设计单元p5v红软基地
Package(包集合)属于库结构的一个层次,存放信号定义、常数定义、数据类型、元件语句、函数定义和过程定义。p5v红软基地
Package Body 具有独立对端口(port)的packagep5v红软基地
configuration(配置)描述层与层之间的连接关系以及实体与构造体之间关系。p5v红软基地
VHDL中的设计单元p5v红软基地
VHDL中的设计单元(可以独立编译)p5v红软基地
Library 库的概念p5v红软基地
STD库    --VHDL的标准库p5v红软基地
IEEE库   -- VHDL的标准库的扩展p5v红软基地
面向ASIC的库 --不同的工艺p5v红软基地
不同公司自定义的库p5v红软基地
普通用户自己的库p5v红软基地
Library 库的概念p5v红软基地
用户自己的库p5v红软基地
    当您的VHDL文件被编译后,编译的结果储存在特定的目录下,这个目录的逻辑名称即Library,此目录下的内容亦即是这个Library的内容。p5v红软基地
Package 包的概念p5v红软基地
Package(包)p5v红软基地
VHDL中的结构关系p5v红软基地
VHDL简介及其结构p5v红软基地
本讲结束p5v红软基地
下一讲:p5v红软基地
      VHDL中的对象、操作符、数据类型p5v红软基地
第二讲、VHDL对象、操作符、数据类型p5v红软基地
通过本课的学习您可以了解以下几点p5v红软基地
1、VHDL 的基本类型p5v红软基地
2、如何在VHDL中定义类型p5v红软基地
3、VHDL 的信号定义p5v红软基地
4、如何在VHDL中对信号赋值p5v红软基地
5、VHDL中的操作符p5v红软基地
VHDL对象、操作符、数据类型p5v红软基地
对象objectp5v红软基地
    对客观实体的抽象和概括p5v红软基地
VHDL中的对象有:p5v红软基地
1、Constant(常量)在程序中不可以被赋值 p5v红软基地
2、Variable(变量)在程序中可以被赋值(用“: =”),赋值后立即变化为新值。 p5v红软基地
3、Signal(信号)在程序中可以被赋值(用“<=”) ,但不立即更新,当进程挂起后,才开始更新。p5v红软基地
VHDL对象、操作符、数据类型p5v红软基地
VHDL中的对象使用:p5v红软基地
 variable  p5v红软基地
     x,y:integer;--定义了整数型的变量对象x,yp5v红软基地
constant  p5v红软基地
     Vcc:real;--定义了实数型的常量对象Vccp5v红软基地
signal p5v红软基地
    clk,reset:bit;--定义了位类型的信号对象clk,resetp5v红软基地
VHDL中的对象使用p5v红软基地
注意p5v红软基地
1、variable只能定义在process和subprogram(包括function和procedure)中,不可定以在其外部。p5v红软基地
2、signal不能定义在process和subprogram(包括function和procedure)中,只可定以在其外部。p5v红软基地
VHDL对象、操作符、数据类型p5v红软基地
对象的属性p5v红软基地
   类似于其它面向对象的编程语言如VB、VC、DELPHIp5v红软基地
     用法格式:对象 ’ 属性       p5v红软基地
     例       子:clk’event      --表明信号clk的event属性p5v红软基地
 常用的属性:p5v红软基地
  Signal 对象的常用属性有:p5v红软基地
   event : 返回boolean值,信号发生变化时返回truep5v红软基地
   last_value:返回信号发生此次变化前的值p5v红软基地
   last_event:返回上一次信号发生变化到现在变化的间隔时间    p5v红软基地
VHDL对象、操作符、数据类型p5v红软基地
Signal 对象的常用属性有:接上页p5v红软基地
delayed[(时延值)]: 使信号产生固定时间的延时并返回p5v红软基地
stable[(时延值)]: 返回boolean, 信号在规定时间内没有变化返回truep5v红软基地
transaction: 返回bit类型,信号每发生一次变化,返回值翻转一次p5v红软基地
VHDL对象、操作符、数据类型p5v红软基地
VHDL 的基本类型p5v红软基地
1、bit(位): `0` 和`1`p5v红软基地
2、bit-Vector(位矢量): 例如:``00110``p5v红软基地
3、Boolean “ ture”和“false”p5v红软基地
4、time  例如:1 us、100 ms,3 sp5v红软基地
5、character 例如:‘a’、’n’、’1’、 ’0’p5v红软基地
6、string  例如:“sdfsd”、”my design”p5v红软基地
7、integer  32位例如:1、234、-2134234p5v红软基地
8、real 范围-1.0E38~+1.0E38 p5v红软基地
             例如:1.0、2.834、3.14、0.0p5v红软基地
VHDL 的基本类型p5v红软基地
9、natural  自然数 和 positive 正整数p5v红软基地
10、senverity level  (常和assert语句配合使用)p5v红软基地
       包含有:note、warning、error、failurep5v红软基地
以上十种类型是VHDL中的标准类型,在编程中可以直接使用。使用这十种以外的类型,需要自行定义或指明所引用的Library(库)和Package(包)集合p5v红软基地
VHDL 的基本类型p5v红软基地
例子一     p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
例子二p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
例子三              p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
例子四p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
例子五p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
连接操作符---使用&p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
集合操作---使用()p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
集合操作---采用序号p5v红软基地
VHDL 的基本类型和赋值p5v红软基地
集合操作--采用othersp5v红软基地
在VHDL中定义自己的类型p5v红软基地
通用格式p5v红软基地
   TYPE 类型名  IS  数据类型定义 p5v红软基地
用户可以定义的数据类型p5v红软基地
枚举类型enumberated、整数型integer、p5v红软基地
实数型real、数组类型array、p5v红软基地
纪录类型record、时间类型time、p5v红软基地
文件类型file、存取类型accessp5v红软基地
在VHDL中定义自己的类型p5v红软基地
枚举类型enumberatedp5v红软基地
格式p5v红软基地
  type 数据类型名 is (元素,元素…...);p5v红软基地
例子p5v红软基地
  type week is (sun,mon,tue,thu,fri,sat);p5v红软基地
  type std_logic is (‘1’,’0’,’x’,’z’);p5v红软基地
在VHDL中定义自己的类型p5v红软基地
整数类integer和实数类realp5v红软基地
格式p5v红软基地
  type 数据类型名 is 数据类型定义  约束范围;p5v红软基地
例子p5v红软基地
  type  week is   integer range 1 to 7;p5v红软基地
  type  current is   real   range -1E4 to 1E4p5v红软基地
在VHDL中定义自己的类型p5v红软基地
数组类型arrayp5v红软基地
格式p5v红软基地
  type 数据类型名 is array 范围 of 元数据类型名p5v红软基地
例子p5v红软基地
  type week is array (1 to 7) of integer;p5v红软基地
  type deweek is array  (1 to 7) of week;p5v红软基地
在VHDL中定义自己的类型p5v红软基地
时间类型timep5v红软基地
格式p5v红软基地
  type 数据类型名 is  范围 p5v红软基地
   units 基本单位;p5v红软基地
     单位;p5v红软基地
  end unitsp5v红软基地
在VHDL中定义自己的类型p5v红软基地
时间类型例子p5v红软基地
 type time is range -1E18 to 1E18p5v红软基地
   unitsp5v红软基地
    us;p5v红软基地
    ms=1000 us; p5v红软基地
    sec=1000 ms;p5v红软基地
    min=60 sec;p5v红软基地
end unitsp5v红软基地
在VHDL中定义自己的类型p5v红软基地
纪录类型recordp5v红软基地
格式p5v红软基地
  type 数据类型名 is  recoerd p5v红软基地
    元素名:数据类型名;p5v红软基地
    元素名:数据类型名;p5v红软基地
     ….p5v红软基地
  end record;p5v红软基地
在VHDL中定义自己的类型p5v红软基地
纪录类型的例子p5v红软基地
type order is recordp5v红软基地
   id:integer;p5v红软基地
   date:string;p5v红软基地
   security:boolean;p5v红软基地
end record;p5v红软基地
引用:signal flag:boolean; p5v红软基地
             signal order1:order;p5v红软基地
              order1<=(3423,”1999/07/07”,true);p5v红软基地
              flag<=order1.security; p5v红软基地
IEEE 1164中定义的类型p5v红软基地
std_ulogic 是对位(bit)类型的扩展,只允许一个驱动源 p5v红软基地
IEEE 1164中定义的类型p5v红软基地
Std_logic同std_ulogic 一样有九个状态,允许一个或多个驱动源p5v红软基地
IEEE 1164中定义的类型p5v红软基地
Std_unlogic_vector和std_logic_vectorp5v红软基地
IEEE 1164中定义的类型p5v红软基地
Std_unlogic、std_ulogic_vector p5v红软基地
std_logic_vector和 std_unlogic_vector 类型p5v红软基地
均定义在package(包) standard_logic_1164中p5v红软基地
在使用这四种类形时应加以说明,p5v红软基地
例如:library ieee;p5v红软基地
         use ieee.std_logic_1164.all; p5v红软基地
注: standard_logic_1164位于IEEE库中p5v红软基地
类型使用例子p5v红软基地
例子一(声明使用的库和包)p5v红软基地
类型使用例子p5v红软基地
例子二 std_ulogic 和std_logic的区别p5v红软基地
类型使用例子p5v红软基地
练习一: 下面那一个是正确的p5v红软基地
VHDL中的操作符p5v红软基地
分类p5v红软基地
  1、逻辑操作符p5v红软基地
  2、关系操作符p5v红软基地
  3、数学运算符p5v红软基地
VHDL中的操作符p5v红软基地
1、逻辑操作符有:p5v红软基地
VHDL中的操作符p5v红软基地
逻辑操作符的应用类型p5v红软基地
VHDL中的操作符p5v红软基地
逻辑操作符的应用例子p5v红软基地
VHDL中的操作符p5v红软基地
2、关系运算符有p5v红软基地
VHDL中的操作符p5v红软基地
关系运算符的应用p5v红软基地
VHDL中的操作符p5v红软基地
3、数学运算符p5v红软基地
VHDL中的操作符p5v红软基地
VHDL中的操作符应用要点p5v红软基地
   1、VHDL属于强类型,不同类型之间不能进行运算和赋值,可以进行数据类型转换p5v红软基地
   2、vector不表示numberp5v红软基地
   3、array 不表示numberp5v红软基地
VHDL中的操作符p5v红软基地
本讲结束p5v红软基地
下一讲:p5v红软基地
     VHDL中的控制语句及模块p5v红软基地
第三讲VHDL中的控制语句及模块p5v红软基地
通过本讲您将会学到p5v红软基地
1、Block的编写p5v红软基地
2、Process的编写p5v红软基地
3、function 和 procedure的编写p5v红软基地
4、VHDL中的流程控制语句的书写p5v红软基地
VHDL中的控制语句及模块p5v红软基地
回顾第一讲的内容p5v红软基地
VHDL中的控制语句及模块p5v红软基地
基本概念p5v红软基地
1、并行处理(concurrent)p5v红软基地
           语句的执行与书写顺序无关,并行块内的语句时同时执行的p5v红软基地
2、顺序处理(sequential)p5v红软基地
           语句的执行按书写的先后次序,从前到后顺序执行。这种方式和其他普通编程语言(如c,pascal)是一样的。p5v红软基地
VHDL中的控制语句及模块p5v红软基地
Architecture 中的语句及子模块之间是并行处理的p5v红软基地
子模块block中的语句是并行处理的p5v红软基地
子模块process中的语句是顺序处理的p5v红软基地
子模块subprogram中的function和procedure是顺序处理的p5v红软基地
VHDL中的architecturep5v红软基地
  Arcthitecture(构造体)的格式为:(第一讲)p5v红软基地
Arcthitecture 构造体名 of  实体名  is p5v红软基地
      [定义语句] 内部信号、常数、元件、数据类型、函数等的定义p5v红软基地
     begin p5v红软基地
      [并行处理语句和block、process、function、procedure]p5v红软基地
     end 构造体名;p5v红软基地
Architecture中的Blockp5v红软基地
Blockp5v红软基地
格式p5v红软基地
  块名:p5v红软基地
              BLOCKp5v红软基地
                  [定义语句]p5v红软基地
                 beginp5v红软基地
                  [并行处理语句concurrent statement]p5v红软基地
                end block  块名p5v红软基地
Architecture中的Blockp5v红软基地
条件Blockp5v红软基地
格式p5v红软基地
  块名:p5v红软基地
              BLOCK  [(布尔表达式)] p5v红软基地
                  [定义语句]p5v红软基地
                 beginp5v红软基地
                  [并行处理语句concurrent statementp5v红软基地
                  [信号]<= guarded   [信号,延时] ;p5v红软基地
                end block  块名p5v红软基地
Architecture中的Blockp5v红软基地
Block 例子p5v红软基地
  myblock1:p5v红软基地
    block(clk=‘1’)p5v红软基地
     signal:qin:bit:=‘0’;p5v红软基地
    beginp5v红软基地
     qout<=  guarded qin ;p5v红软基地
    end block myblock1p5v红软基地
Architecture中的processp5v红软基地
Processp5v红软基地
格式p5v红软基地
[进程名:]  p5v红软基地
   process  [(触发信号列表)]p5v红软基地
     [定义语句;]p5v红软基地
   beginp5v红软基地
     [串行处理语句sequential statement;]p5v红软基地
   end processp5v红软基地
Architecture中的processp5v红软基地
process例子p5v红软基地
 exp1:p5v红软基地
  process (clk,qin)p5v红软基地
   variable:qin:bit:=‘0’;p5v红软基地
  beginp5v红软基地
    qout<=qin;p5v红软基地
  end processp5v红软基地
process例子-值的更新p5v红软基地
Architecture中的processp5v红软基地
Process中敏感信号列表的普遍原则是:p5v红软基地
    在process中,其值被引用的信号应当出现在敏感信号列表中p5v红软基地
不符和设计要求p5v红软基地
Architecture中的subprogramp5v红软基地
Function(函数)p5v红软基地
格式:p5v红软基地
   function  函数名(参数1,参数2 …...)p5v红软基地
     [定义语句]     p5v红软基地
     return 数据类型名 is   [定义语句]p5v红软基地
   beginp5v红软基地
      [顺序执行语句]p5v红软基地
     return [返回变量名]p5v红软基地
   end 函数名p5v红软基地
Architecture中的subprogramp5v红软基地
Function 例子p5v红软基地
function max(a,b:bit)p5v红软基地
   return boolean is variable :flag:boolean;p5v红软基地
beginp5v红软基地
   if (a=b)thenp5v红软基地
      flag<=true;p5v红软基地
  end ifp5v红软基地
return flag;p5v红软基地
end maxp5v红软基地
procedure(过程)p5v红软基地
格式:p5v红软基地
   procedure  过程名(参数1,参数2 …...)isp5v红软基地
     [定义语句]     p5v红软基地
   beginp5v红软基地
      [顺序执行语句]p5v红软基地
     end  过程名p5v红软基地
Architecture中的subprogramp5v红软基地
Procedure例子p5v红软基地
procedure max(a,b:in  bit;p5v红软基地
                           flag:out boolean)isp5v红软基地
beginp5v红软基地
   if (a=b)thenp5v红软基地
      flag<=true;p5v红软基地
  end ifp5v红软基地
end max;p5v红软基地
顺序执行语句sequential statementp5v红软基地
Wait语句p5v红软基地
assert语句p5v红软基地
If 语句p5v红软基地
case语句p5v红软基地
for loop语句p5v红软基地
while 语句p5v红软基地
顺序执行语句sequential statementp5v红软基地
Wait语句p5v红软基地
书写格式p5v红软基地
       wait;--无限等待p5v红软基地
       wait on [信号列表]    --等待信号变化p5v红软基地
       wait until [条件];    --等待条件满足p5v红软基地
       wait for [时间值];   --等待时间到 p5v红软基地
功能 p5v红软基地
   wait语句使系统暂时挂起 (等同于end process),此时,信号值开始更新。条件满足后,系统将继续运行 。p5v红软基地
顺序执行语句sequential statementp5v红软基地
Wait语句例子p5v红软基地
process(a,b)p5v红软基地
     beginp5v红软基地
        y<=a and b;p5v红软基地
end process  p5v红软基地
顺序执行语句sequential statementp5v红软基地
Wait语句例子p5v红软基地
如果process中没有敏感信号列表,其进程中也没p5v红软基地
有wait 语句,则process中的程序代码循环执行p5v红软基地
顺序执行语句sequential statementp5v红软基地
Assert语句格式p5v红软基地
   assert  条件  [report 输出信息]  [severity]p5v红软基地
  说明:条件为true 时执行下一条语句,为false 时输出错误信息和错误的严重级别p5v红软基地
例子p5v红软基地
  ….  p5v红软基地
  assert(sum=100)report “sum /=100” severity error;p5v红软基地
  next statementp5v红软基地
  …...p5v红软基地
顺序执行语句sequential statementp5v红软基地
If 语句格式p5v红软基地
   if 条件 thenp5v红软基地
      [顺序执行语句]p5v红软基地
   [else]p5v红软基地
     [顺序执行语句]p5v红软基地
    end ifp5v红软基地
顺序执行语句sequential statementp5v红软基地
If 语句例子p5v红软基地
顺序执行语句sequential statementp5v红软基地
Case 语句格式p5v红软基地
顺序执行语句sequential statementp5v红软基地
Case 语句例子,条件表达式可以有多种形式p5v红软基地
顺序执行语句sequential statementp5v红软基地
Case 语句例子p5v红软基地
顺序执行语句sequential statementp5v红软基地
For loop 语句格式p5v红软基地
顺序执行语句sequential statementp5v红软基地
在loop语句中可以用next来跳出本次 循环,也可以用exit 来结束整个循环状态p5v红软基地
     next 格式:next [标号] [when 条件]; p5v红软基地
     exit 格式: exit  [标号] [when 条件];p5v红软基地
顺序执行语句sequential statementp5v红软基地
While 语句格式p5v红软基地
并行处理语句concurrent statementp5v红软基地
1、信号赋值操作p5v红软基地
2、带条件的信号赋值语句p5v红软基地
3、带选择的信号赋值语句p5v红软基地
并行处理语句concurrent statementp5v红软基地
信号赋值操作p5v红软基地
符号“<=”进行信号赋值操作的,p5v红软基地
它可以用在顺序执行语句中,p5v红软基地
也可以用在并行处理语句中p5v红软基地
注意p5v红软基地
     1、用在并行处理语句中时,符号<=右边的值是此条语句的敏感信号,即符号<=右边的值发生变化就会重新激发此条赋值语句,也即符号<=右边的值不变化时,此条赋值语句就不会执行。如果符号<=右边是常数则赋值语句一直执行。p5v红软基地
    2、用在顺序执行语句中时,没有以上说法。p5v红软基地
并行处理语句concurrent statementp5v红软基地
赋值语句例子p5v红软基地
并行处理语句concurrent statementp5v红软基地
条件信号带入语句格式p5v红软基地
目的信号量  <= 表达式1  when  条件1p5v红软基地
                     else  表达式2 when 条件2p5v红软基地
                     else  表达式3 when 条件3p5v红软基地
                     …..                     p5v红软基地
                     else  表达式4p5v红软基地
并行处理语句concurrent statementp5v红软基地
条件信号带入语句例子p5v红软基地
并行处理语句concurrent statementp5v红软基地
选择信号带入语句格式p5v红软基地
  with  表达式  selectp5v红软基地
     目的信号量  <= 表达式1  when  条件1,p5v红软基地
                                 表达式2 when 条件2,p5v红软基地
                                  …..                     p5v红软基地
                                 表达式n  when 条件n;p5v红软基地
并行处理语句concurrent statementp5v红软基地
选择信号带入语句例子p5v红软基地
顺序执行语句和并行处理语句p5v红软基地
顺序执行语句和并行处理语句总结p5v红软基地
1、顺序执行语句 wait、assert、if -else 、case、for-loop、while语句只能用在process、function 和 procedure 中;p5v红软基地
2、并行处理语句(条件信号带入和选择信号带入)只能用在architecture、block中;p5v红软基地
其它语句p5v红软基地
Generic语句p5v红软基地
enttity  and2 isp5v红软基地
   generic(rise:time:=10 ns);p5v红软基地
   port(a,b: in nit ; c:out bit);p5v红软基地
end and2;p5v红软基地
architecture behav of and2 isp5v红软基地
beginp5v红软基地
  c<=(a xor b) after (rise);p5v红软基地
end behavp5v红软基地
enttity  testand2 isp5v红软基地
 port(ain,bin: in nit ; cout:out bit);p5v红软基地
end testand2;p5v红软基地
architecture behav of  testand2 isp5v红软基地
 component  and2p5v红软基地
  generic(rise:time); port(a,b: in nit ; c:out bit);p5v红软基地
end component;p5v红软基地
beginp5v红软基地
  c<=(a xor b) after (rise);p5v红软基地
   u0:and2 generic map(20 ns) port map(ain,bin,cout);p5v红软基地
end behavp5v红软基地
一些例子p5v红软基地
Signal  A,B,C, Y,Z,M,N : integer;p5v红软基地
Signal  M,N : integer;p5v红软基地
beginp5v红软基地
  process (A,B,C)p5v红软基地
   beginp5v红软基地
     M<=A;p5v红软基地
     N<=B;p5v红软基地
     Z<=M+N;p5v红软基地
     M<=C;p5v红软基地
     Y<=M+N;p5v红软基地
    end processp5v红软基地
一些例子p5v红软基地
变量值的更新立即发生p5v红软基地
一些例子p5v红软基地
Z 和 Y最终取什么值;p5v红软基地
结束语p5v红软基地
祝贺您完成了VHDL基本内容的学习,希望您在实践过程中能学到更多!p5v红软基地
下一讲:p5v红软基地
    状态机的设计p5v红软基地
第四讲、状态机的设计p5v红软基地
概念p5v红软基地
一类十分重要的时序电路p5v红软基地
许多数字电路的核心部件p5v红软基地
状态机概述p5v红软基地
状态机的结构p5v红软基地
状态机的基本操作p5v红软基地
1、状态的转换p5v红软基地
      下一个状态由译码器根据当前状态和输入条件决定。p5v红软基地
2、输出信号的产生p5v红软基地
       输出信号由译码器根据当前状态和输入条件决定p5v红软基地
状态机的时序 p5v红软基地
同步时序状态机p5v红软基地
    由时钟信号触发状态的转换和信号的输出p5v红软基地
异步时序状态机p5v红软基地
    状态的转移和输出不与时钟信号同步p5v红软基地
状态机的设计 p5v红软基地
在产生输出的过程中,由是否使用输入信号可以决定状态机的类型p5v红软基地
两种类型p5v红软基地
1、米里(mealy)状态机---使用输入信号p5v红软基地
2、莫尔(moore)状态机---不使用输入信号p5v红软基地
状态机的类型 p5v红软基地
状态机的表达方式 p5v红软基地
1、状态图p5v红软基地
2、状态表p5v红软基地
3、流程图p5v红软基地
状态机的设计 p5v红软基地
3进制计数器p5v红软基地
状态机的设计 p5v红软基地
序列检测器(1110010)p5v红软基地
VHDL上机指导p5v红软基地
编译和仿真工具 p5v红软基地
   OR-CAD或ACTIVE-VHDLp5v红软基地
本次培训采用ACTIVE-VHDLp5v红软基地
ACTIVE-VHDL自带教程p5v红软基地
    目录: ..\Active VHDL\book\Avhdl.htmp5v红软基地
 p5v红软基地

vhdl ppt:这是vhdl ppt,包括了VHDL语言基础,VHDL基本结构,VHDL语句,状态机在VHDL中的实现,常用电路VHDL程序,VHDL仿真,VHDL综合等内容,欢迎点击下载。

基于VHDL的六层电梯的论文答辩介绍ppt:这是一个关于基于VHDL的六层电梯的论文答辩材料ppt,主要介绍了选题的背景与任务要求;设计流程与设计原理简介;总体电路设计与仿真;设计总体评估和收获;扩展性分析与展望等内容。本次设计完成了设想的设计要求,实现了电梯所应具备的基本功能并且进行了正确的时序仿真,生成了正确的仿真波形图。仿真结果表明VHDL 语言应用于数字电路仿真是切实可行的。VHDL语言是一个很好用的硬件描述语言,能够正确完成电梯控制的任务要求。同时在本次设计中我通过努力将所学运用于实践,这加深了我对专业的认识,并且也收获到了成功后的喜悦。电梯控制器是一个比较复杂的系统,由于设计任务的要求我们主要虑了六层电梯控制器的设计。但实际生活中电梯的层数和功能需要按照人们的需求不断变化由于我们采用的是模块化的设计,这样便方便了我们对系统的功能扩展,除此之外,本次程序设计大多采用的是逻辑矢量,通过适当引入变量,也可以方便我们对电梯控制器层数的扩展,欢迎点击下载!

PPT分类Classification

Copyright:2009-2024 红软网 rsdown.cn 联系邮箱:rsdown@163.com

湘ICP备2024053236号-1